aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket51/ent.vhdl
blob: a7b5c3cd53704a4f33a0bf2a94b9b06ccc5866b1 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity ent is
end entity;

architecture a of ent is
begin
  main : process
  begin
    report "Hello World";
    wait;
  end process;
end architecture;