aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket37/genint.vhdl
blob: 51ac559afb0e3734223456c4f923f9d945dee938 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
package pkg is
  subtype myint is integer range integer'low to 169;
end pkg;

use work.pkg.all;

entity genint is
  generic (val : myint := 5);
end genint;

architecture behav of genint is
begin
  assert val = -159 or val = 9 severity failure;
end behav;