aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket104/bug_tb.vhd
blob: 8abb61ca59240eded9d342624c866854ce2ac38d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
library ieee;
use ieee.std_logic_1164.all;

entity bug_tb is

end bug_tb;

-------------------------------------------------------------------------------

architecture test of bug_tb is

  type t_test_vec is array (10 downto -1) of std_logic;
  signal test_vec : t_test_vec := (others => '0');

  -- clock
  signal Clk : std_logic := '1';

  procedure pr_vec (
    vec : in std_logic_vector) is
  begin  -- procedure pr_vec
    for i in vec'range loop
      report "bit: " & integer'image(i) & "=" & std_logic'image(vec(i)) severity note;
    end loop;  -- i
  end procedure pr_vec;
  
begin  -- test

  -- clock generation
  Clk <= not Clk after 10 ns;

  -- waveform generation
  WaveGen_Proc : process
  begin
    wait until rising_edge(Clk);
    pr_vec(std_logic_vector(test_vec));
    wait;
  end process WaveGen_Proc;

end test;