aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue788/repro.vhdl
blob: f6bbc88992ac3909b0bd75b3d59ecf7fecbb6342 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity repro is
end repro;

architecture behav of repro is
  type word_vector is array (natural range <>) of bit_vector (7 downto 0);
  
  type trans is record
    header : natural;
    bod : word_vector;
  end record;

  signal s : trans (bod(0 to 3));

  procedure check (t1 : trans) is
  begin
    assert t1.header = 0;
  end check;

  procedure check2 (signal t : trans) is
  begin
    check (t);
  end check2;
begin
  process
  begin
    check2 (s);
    wait;
  end process;
end behav;