aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue737/ent3.vhdl
blob: 39d5e3ad95b17b4fe0ab82627d87a27bdc4ab9f9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity ent3 is
end entity;

architecture impl of ent3 is
	type bitvv is array(natural range <>) of bit_vector;
	signal foo: bitvv(2 downto 0)(0 downto 0);
begin

foo <= bitvv'(
	2 downto 1 => foo(1 downto 0),
	0 => foo(2)
);

end architecture;