aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue71/repro1.vhdl
blob: 40d9a7e139000eae4347fec80679fde8faa6864f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity repro1 is
end repro1;

architecture behav of repro1 is
  signal s1, s2 : bit;

  component comp port (i : in bit; o : out bit);
  end component;
begin

  s1 <= '1';

  c : comp port map (i => s1, o => s2);
end behav;