aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue692/ent.vhdl
blob: beca07efee2f7288aff4e9b7de85b5a54a9f46a0 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity tb is end entity;
architecture arch of tb is
	signal reproducer: unsigned(15 downto 0);
begin
	reproducer <= to_unsigned(integer(0.0), 10**7);
end arch;