aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue641/test_1b_unsigned_alias/TestPkg.vhd
blob: 879e0315a2c9adad4aca48302708a795f7067a48 (plain)
1
2
3
4
5
6
7
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

package TestPkg is

end package TestPkg ;