aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue544/e.vhdl
blob: 2101cae8e5ea0ff0ad0f1cfdd8ec1f2df3fdfa02 (plain)
1
2
3
4
5
6
7
8
entity e is end entity;
architecture a of e is
  function f return boolean is begin
    return false;
  end function;
begin
  assert f report "message" severity note;
end architecture;