aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue476/test_op.vhd
blob: 06cd15d11e8c551f02f51c4c0e3443dd97d509c1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test_op is
    generic (
        NBITS_IN                       : natural := 1;
        NBR_OF_CHROMA_IN               : natural := 1;
        NBR_OF_ROW_IN                  : natural := 1;
        NBR_OF_COL_IN                  : natural := 1;
        NBITS_OUT                      : natural := 2;
        NBR_OF_CHROMA_OUT              : natural := 1;
        NBR_OF_ROW_OUT                 : natural := 1;
        NBR_OF_COL_OUT                 : natural := 1;
        NBR_OF_MATRIX_IN               : natural := 1;
        NBR_OF_MATRIX_OUT              : natural := 1);
    port (
        signal clock, rst             : in  std_logic;
        signal in_data                : in  std_logic_vector(NBR_OF_MATRIX_IN*NBR_OF_COL_IN*NBR_OF_ROW_IN*NBR_OF_CHROMA_IN*NBITS_IN-1 downto 0);
        signal out_data               : out std_logic_vector(NBR_OF_MATRIX_OUT*NBR_OF_COL_OUT*NBR_OF_ROW_OUT*NBR_OF_CHROMA_OUT*NBITS_OUT-1 downto 0));
end entity test_op;

architecture rtl of test_op is

    package local_pixel_pkg is new work.pixel_pkg
      generic map (
            NBITS_IN                       => NBITS_IN,
            NBR_OF_CHROMA_IN               => NBR_OF_CHROMA_IN,
            NBITS_OUT                      => NBITS_OUT,
            NBR_OF_CHROMA_OUT              => NBR_OF_CHROMA_OUT
      );

    package local_pixel_column_pkg is new work.pixel_column_pkg
      generic map (
            NBITS_IN                       => NBITS_IN,
            NBR_OF_CHROMA_IN               => NBR_OF_CHROMA_IN,
            NBR_OF_ROW_IN                  => NBR_OF_ROW_IN,
            NBITS_OUT                      => NBITS_OUT,
            NBR_OF_CHROMA_OUT              => NBR_OF_CHROMA_OUT,
            NBR_OF_ROW_OUT                 => NBR_OF_ROW_OUT,
            local_pixel_pkg                => local_pixel_pkg
      );

    package local_pixel_matrix_pkg is new work.pixel_matrix_pkg
      generic map (
            NBITS_IN                       => NBITS_IN,
            NBR_OF_CHROMA_IN               => NBR_OF_CHROMA_IN,
            NBR_OF_ROW_IN                  => NBR_OF_ROW_IN,
            NBR_OF_COL_IN                  => NBR_OF_COL_IN,
            NBITS_OUT                      => NBITS_OUT,
            NBR_OF_CHROMA_OUT              => NBR_OF_CHROMA_OUT,
            NBR_OF_ROW_OUT                 => NBR_OF_ROW_OUT,
            NBR_OF_COL_OUT                 => NBR_OF_COL_OUT,
            local_pixel_column_pkg         => local_pixel_column_pkg
      );

    use local_pixel_matrix_pkg.all;
    signal input_pixel_matrix : TYPE_PIXEL_MATRIX_IN;
    signal output_pixel_matrix : TYPE_PIXEL_MATRIX_OUT;
begin

    -- As soon as a function from the local_pixel_matrix_pkg is used it breaks
    input_pixel_matrix <= std_logic_vector_to_pixel_matrix_in(in_data(NBR_OF_COL_IN*NBR_OF_ROW_IN*NBR_OF_CHROMA_IN*NBITS_IN-1 downto 0));

    -- Note: Commented out more complex operation to show that the error is generated regardless
    --       Uncomment to have more "complete" code
    --output_pixel_matrix <= not input_pixel_matrix;
    out_data <= (others => '0'); --pixel_matrix_out_to_std_logic_vector(output_pixel_matrix);
    --out_data <= in_data(NBR_OF_MATRIX_OUT*NBR_OF_COL_OUT*NBR_OF_ROW_OUT*NBR_OF_CHROMA_OUT*NBITS_OUT-1 downto 0);

end architecture rtl;