aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue441/e.vhdl
blob: d55b83b5a15fd973f40c0bdc5def61e5116c114c (plain)
1
2
3
4
5
6
7
8
9
entity e is end entity;
architecture a of e is
  component c is
    generic(constant k :natural := 0);
    port   (signal   s :bit_vector(k to k));
  end component;
begin
  inst: c port map(s(k) => '0');
end architecture;