aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue418/repro3.vhdl
blob: b9f2182e98fbad77d26589446b12fd6190050aa0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
entity repro3 is
  generic (blen : natural := 8);
end;

architecture behav of repro3 is
   -- AXI-Lite Interface signals
  type address_channel is record
    --DUT inputs
    awaddr  : bit_vector;
    awvalid : bit;
  end record;


  type t_if is record
    write_channel  : address_channel;
    data : bit_vector (blen - 1 downto 0);
  end record;

  subtype ST_IF_32 is t_if  (
    write_channel (
        awaddr(31 downto 0)  )
    );

  signal s : st_if_32;
begin
  s.write_channel.awaddr <= x"0000_1000", x"1000_ffff" after 2 ns;
  s.data <= (others => '1');
  process
  begin
    wait for 1 ns;
    assert s.write_channel.awvalid = '0';
    assert s.write_channel.awaddr(12) = '1';
    wait for 2 ns;
    assert s.write_channel.awaddr(14) = '1';
    wait;
  end process;
end;