aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue371/test_string.vhdl
blob: b178a31b88500762011912720cb265b78ea639d2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
-- VHDL source file
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test_string is
end entity;

architecture rtl of test_string is
    signal clk  : std_logic := '1';
    signal test : string (1 to 11) := "Hello World";
begin
    clk <= not clk after 10 ns;
    p_test : process
    begin
        wait for 1 us;
        test <= "hello world";
        wait;
    end process;
end rtl;