aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue339/testsuite.sh
blob: bb1dfbc9d68e6126602d2b406efc8399a891ad64 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
#! /bin/sh

. ../../testenv.sh

export GHDL_STD_FLAGS=--std=08
analyze test_pkg.vhdl test_bench.vhdl
elab test_bench
if ghdl_has_feature test_bench ghw; then
  simulate test_bench --stop-time=700ns --wave=w.ghw
  ghw_diff w
  rm -f w.txt w.ghw
else
  simulate test_bench --stop-time=700ns
fi

clean
rm -f output.txt

echo "Test successful"