aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue265/ex1_entity.vhdl
blob: fef4f6eaf03f765836be939a1673b20ece92e28a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library IEEE;
use     IEEE.std_logic_1164.all;

entity ex1_entity is
  port (
    X : inout std_logic
  );
end entity;

architecture a of ex1_entity is
begin
end architecture;