aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue262/repro.vhdl
blob: bb4dd29655db2a4164ad4a6601b6260285973780 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity repro is
end;

architecture behav of repro is
begin
  process
    variable result : std_ulogic;
  begin
    result := std_ulogic_vector'("-000") ?/= std_ulogic_vector'("0000");
    result := std_ulogic_vector'("-000") ?= std_ulogic_vector'("0000");
    wait;
  end process;
end behav;