aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2424/ent2.vhdl
blob: 72baff12ea90313d5cea96a6e46fbbe31fd4ba26 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
package pkg2 is
	type rec is record
		elem : bit_vector;
	end record;

	type arr is array(natural range <>) of rec;
end package;

use work.pkg2.all;

entity e2 is
	port (
		p : in rec
	);
end entity;

architecture a of e2 is
	signal sig : p'subtype;
begin

end architecture;

entity top2 is
end top2;

use work.pkg2.all;

architecture behav of top2 is
  signal s : rec (elem(7 downto 0));
begin
  dut : entity work.e2 port map (p => s);
end behav;