blob: 6dd777816af98303ded898b96e3bffa813de7d97 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test is
end entity;
architecture rtl of test is
signal a,b,c,d,e: std_logic;
begin
COMBINATORIC: process( all ) is
begin
case a is
when '0' =>
with b select c <=
'0' when '1',
'1' when '0',
'0' when others;
when others =>
null;
end case;
end process;
end architecture rtl;
|