blob: 5fe907965553dbeb55b714b6742a413a79ed82bf (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
|
library ieee;
use ieee.numeric_std.all;
entity MatchEnt is
port (
A : in unsigned(4 downto 0);
B : in unsigned(4 downto 0);
XOUT : out unsigned(4 downto 0)
);
end entity;
architecture behavior of MatchEnt is
begin
tester : process (A, B)
begin
case A is
when to_unsigned(17, 5) =>
XOUT <= A + 1;
when to_unsigned(21, 5) =>
XOUT <= A + B;
when to_unsigned(34, 5) =>
XOUT <= A - B;
when others =>
XOUT <= resize(A * B, 5);
end case;
end process;
end architecture;
|