blob: e11354f2cb91b775367b5be47c06b3e4f7541bf5 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
entity test7 is
end entity ;
architecture arch of test7 is
type cx_t is array (1 to 2) of signed (15 downto 0);
constant x : cx_t := ( 1 => to_signed(0, 16), 2 => to_signed(0, 17));
begin
end architecture ;
|