blob: 6fd3de42a694049fcf8b1a19bac3054a652bc7c1 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
|
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
entity test4 is
end entity ;
architecture arch of test4 is
constant x : signed(15 downto 0) := to_signed(0, 17);
begin
end architecture ;
|