aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue233/test.vhdl
blob: a64e208c61d85801eee33fd9f87f08f1e808316e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
ENTITY test1 IS
  PORT (
    i : IN integer);
END ENTITY test1;

ENTITY test IS
  PORT (
    o : OUT integer);
END ENTITY test;

ARCHITECTURE rtl OF test IS

BEGIN

  test1_1 : ENTITY work.test1
    PORT MAP (
      i => o);

END ARCHITECTURE rtl;