blob: 5647dc9c38343337469f9c229a9ec840de04a598 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
|
library ieee;
use ieee.std_logic_1164.all;
entity TEST_IP is
port (
I_CLK : in std_logic;
I_RST : in std_logic;
I_DATA : in std_logic;
O_DATA : out std_logic
);
end entity TEST_IP;
architecture TEST_IP_ARCH of TEST_IP is
signal test_signal : std_logic_vector(7 downto -1);
begin
end architecture TEST_IP_ARCH;
|