aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2276/mwe.vhdl
blob: 28b06009ec60ce67aba6da307e533ea1257f6981 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity mwe is
end entity;

architecture tb of mwe is

  constant ic_slv : std_logic_vector(63 downto 0) := x"7000000000000228";
  signal ic_bv : bit_vector(ic_slv'range);

begin

  ic_bv <= to_bitvector(ic_slv);

end architecture;