aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2269/ent.vhdl
blob: 21fb46b1f59cd1ff815ffa5f5007ea66a89496f3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
    use ieee.numeric_bit.all;

entity ent is
end entity;

architecture a of ent is
    constant Bit_c                  : bit                           := '0';
    constant BitVector_c            : bit_vector(3 downto 0)        := (others => Bit_c);
begin
  process begin
    report "String test: " & to_hstring(BitVector_c) severity note;
    wait;
  end process;
end;