aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2244/repro3.vhdl
blob: a3a4879a3c586b45e49e6fa8324f7b0b1c1c937e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity repro3 is
end repro3;

architecture rtl of repro3 is
    signal c_a : std_logic_vector(11 downto 0) := x"FAE";

    procedure check (v : std_logic_vector) is
    begin
      report "v = " & to_hstring (v);
      assert v'ascending = false report "bad direction" severity failure;
    end check;
begin
    expected_value : process
    begin
      check ((15 downto 12 => c_a(11), 11 downto 0 => c_a));
        wait;
    end process;
end rtl;