aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2076/gcrash-6a.vhdl
blob: f29b9ba36dd44945e98604e6049489c4696eb6c3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity full_adder_tb is
end entity full_adder_tb;

architecture sim of full_adder_tb is
begin

  process
  begin
    stx.env(i).b;
  wait;
  end process;
end architecture sim;