aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2070/crash45_1.vhdl
blob: 9f5c5407011ea86085e7a990b212c2992c69a99e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;use ieee.std_logic_1164.all;
use ieee.numeric_std;

entity full_adder_tb is
end entity full_adder_tb;

architecture sim of full_adder_tb is
  type rc_data is record
    a : character;
    t:std_logic;
  end record;
  constant e:rc_data:=('0','%');
begin
end architecture sim;