aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2066/repro1.vhdl
blob: aa1dfca114edf8af025acf7006dbf261e5029b96 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity repro1 is
end;

architecture rtl of repro1 is
  signal vec : bit_vector(7 downto 0);
begin
  vec <= (3 downto 0 => "111", others => '0'); -- Associate a 3 bit element to a 4 bit slice
  process
  begin
    wait for 1 ns;
    report to_string(vec);
    wait;
  end process;
end architecture rtl;