aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2065/repro.vhdl
blob: a6dc56d267a0345549ed59de8110fb23958b64f0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity repro is
  generic (depth : natural := 5);
   port (inp : bit := '0');
end entity;

architecture mult of repro is
   signal  s : bit;
begin
  gen: if depth > 0 generate
    inst : entity work.repro
      generic map (depth => depth - 1)
      port map(inp => s and inp);
  end generate;
end architecture mult;