blob: 3af6aef9de67f2c00a318e34fba28f6cd9fdf6e0 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
library IEEE;
use IEEE.std_logic_1164.all;
entity abc is
port(
a : std_logic;
b : std_logic;
c : out std_logic
);
end entity;
architecture arch of abc is
begin
c <= a and b;
end architecture;
|