blob: 819db57c0211ea22c38224247a388d2dabb435fe (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity top is
generic (
W : integer := 1
);
end entity top;
architecture rtl of top is
signal write : std_logic;
-- workaround
signal wen : std_logic_vector(W-1 downto 0);
begin
process begin
report "Hello world from top" severity note;
wait;
end process;
write <= '0';
-- workaround
wen <= (others => write);
u_ent: entity work.ent
generic map(
W => W
)
port map(
-- workaround
wen => (others => write)
-- wen => wen
);
end rtl;
|