aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1772/repro3.vhdl
blob: 8355aab51d3484d2ce3cc5d36e2b82899c694765 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity repro3 is
end;

architecture behav of repro3 is
  type arr_el_t is array(0 to 1) of string (1 to 4);
begin
  process
  begin
    for k in arr_el_t'range loop
      report natural'image (k);
      null;
    end loop;
    wait;
  end process;
end behav;