aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1723/my_pkg.vhdl
blob: 71b245d8625939f87cde41fc0fbaee39a582669b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;

package my_pkg is

   type my_vector is array (natural range <>) of integer;
   type my_vector_vector is array (natural range <>) of my_vector;

   constant C_1 : my_vector(1 downto 0) := (others => 1);
   constant C_2 : my_vector(2 downto 0) := (others => 2);

   constant C_FOO : my_vector_vector(1 downto 0) := (
      0 => C_1,
      1 => C_2
   );

end package my_pkg;