aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1697/e3.vhdl
blob: 1bf696f7006919e53f19c48b861ab7add501f316 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity e is
    port (o : out std_logic_vector(4 downto 0));
end entity;

architecture a of e is
begin
    o <= ("01",'0');
end architecture;