aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1655/repro1.vhdl
blob: c33e1f52d27f22e7506beca7df0732f73de4a382 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity repro1_ch is
  generic (v : natural);
  port (i : bit);
end;

architecture behav of repro1_ch is
begin
  assert v > 5;
end;

entity repro1 is
end;

architecture behav of repro1 is
  component comp is
    generic (v : natural);
    port (i : bit);
  end component;

  signal s : bit;

  for inst : comp use entity work.repro1_ch(behav);
begin
  inst: comp port map (i => s);
end;