aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1453/ent.vhdl
blob: 2f355720d4650e51185ddb4e6b0ac16752241911 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity ent is
end;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.bug.all;

architecture behav of ent is
  signal w : word;
  signal b : byte;
begin
  process
  begin
    w <= x"1234";
    wait for 1 ns;
    b <= high_byte(w);
    wait for 1 ns;
    assert b = x"12" severity failure;
    wait;
  end process;
end;