aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1377/tb_issue2.vhdl
blob: cb1cef9f91bd0ac5a599c3ad850f4193f46c9aac (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity tb_issue2 is
end entity tb_issue2;

architecture dataflow of tb_issue2 is

    type ia is array (integer range <>) of bit_vector(0 downto 0);

    signal ip : ia(0 to 0) := (others => (others => '0'));

    signal ins : bit_vector(1 downto 0) := (others => '0');

begin

    lbl : for i in 0 to 0 generate
      ins(i downto i) <= ip(i);
    end generate;

end architecture dataflow;