blob: e7c591c8adc53ab0a5885bf251a3fc75609138ed (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
|
library ieee;
context ieee.ieee_std_context;
entity tb_direction_mismatch is
end entity tb_direction_mismatch;
architecture tb of tb_direction_mismatch is
signal left : std_logic_vector(1 to 0);
begin
uut : entity work.direction_mismatch
port map (
left => left
);
end architecture;
|