aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1063/matching.vhdl
blob: 3bd4588f283f3f298781a69c8e863dc9eea1bb36 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity matching is
end matching;

architecture behav of matching is
  constant ceq11 : std_logic := '1' ?= '1';
  constant ceq1h : std_logic := '1' ?= 'H';
  constant ceq1w : std_logic := '1' ?= 'W';
begin
  assert ceq11 = '1';
  assert ceq1h = '1';
  assert ceq1w = 'X';
end behav;