aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug22868/fails1.vhdl
blob: 7bd3a9192854e26cb092754f233a5d14723eacd1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
library ieee;
use ieee.std_logic_1164.all;

entity fails1 is
	generic (
		w : integer := 8
	);
	port(
		x : in std_logic;
		y : out std_logic_vector(7 downto 0);
		z : out std_logic
	);
end entity;

architecture a of fails1 is
	component subcomponent is
		port(
			x : in std_logic;
			y : out std_logic_vector(8 downto 0)
		);
	end component;
begin

	s : subcomponent
	port map(
		x => x,
		y(w downto 1) => y,
		y(0) => z
	);

end a;