blob: 32c94b82032cb981551f55d1f3355213ce3dd243 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
|
package gen is
generic (type t);
end gen;
entity e is
end entity;
library ieee;
use ieee.std_logic_1164.all;
architecture a of e is
subtype T_DATA is std_logic_vector(31 downto 0);
type T_DATA_VECTOR is array(natural range <>) of T_DATA;
package pkg is new work.gen (t => t_data_vector);
begin
end architecture;
|