aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug051/tb2.vhdl
blob: 36b2fff136e829ab320165e4e481c3b0d67082a6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity tb2 is
end tb2;

architecture behav of tb2 is
  signal s : bit;
  signal clk : bit;
begin
 -- psl default clock is (clk'event and clk = '1');
 postponed assert always {s = '0'; s = '1'} severity failure;
 process
 begin
   s <= '1';
   wait for 0 ns;
   s <= '0';
   wait;
 end process;
end behav;