aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug044/aggr2.vhdl
blob: 49981099624dea6fa1a197d31f48b8c327a5fb2c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity aggr2 is
end;

architecture behav of aggr2 is
  constant g : natural := 1;
begin
  process
    variable v : bit_vector(3 downto 0);
  begin
     v (g + 2 downto g) := (2 downto 0 => '1');
     wait;
  end process;
end;