aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0117/repro8.vhdl
blob: ec21f3efdaabb66336bd0cb58bfafb3ffa2ab20b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity repro8 is
end repro8;

architecture behav of repro8 is
  procedure assign (a, b : out bit_vector; val : bit_vector) is
  begin
    (a, b) := val;
  end assign;
begin
  process
    variable a: bit_vector(7 downto 0);
    variable b: bit_vector(3 downto 0);
  begin
    assign (a, b, x"012");
    assert a = x"01";
    assert b = x"2";
    wait;
  end process;
end behav;