aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug0109/ok.vhdl
blob: 487fe2647ed0a60a49e2ba9a0fe505855a778b75 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
library ieee;
use ieee.std_logic_1164.all;

package foo_pkg is
    type unresolved_sfixed is array (integer range <>) of std_ulogic;
    subtype sfixed is (resolved) UNRESOLVED_sfixed;
    function to_string (inp: unresolved_sfixed) return string;
end package foo_pkg;

package body foo_pkg is
    function to_string (inp: unresolved_sfixed) return string is
        variable image_str: string (1 to inp'length + 1);
        variable j: integer range 1 to image_str'length + 1;
    begin
        j := 1;
        for i in inp'range loop
            
            if i = -1 then
                image_str(j) := ',';
                j := j + 1;
            end if;
            image_str(j) := character'VALUE(std_ulogic'IMAGE(inp(i)));
            j := j + 1;
        end loop;
        return image_str;
    end function;
end package body foo_pkg;

library ieee;
use ieee.std_logic_1164.all;
use work.foo_pkg.all;

entity foo is
end entity;

architecture fum of foo is
    constant sfixed_val:    sfixed (3 downto -4):= x"da";
    function to_string (inp: sfixed) return string is
        variable image_str: string (1 to inp'length + 1);
        variable j: integer range 1 to image_str'length + 1;
    begin
        j := 1;
        for i in inp'range loop
            
            if i = -1 then
                image_str(j) := '.';
                j := j + 1;
            end if;
            image_str(j) := character'VALUE(std_ulogic'IMAGE(inp(i)));
            j := j + 1;
        end loop;
        return image_str;
    end function;
begin
    process
    begin
        report "sfixed_val = " & to_string(sfixed_val);
        wait;
    end process;
end architecture;