aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/synth/issue2372/testsuite.sh8
-rw-r--r--testsuite/synth/issue2372/to01.vhdl7
2 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/synth/issue2372/testsuite.sh b/testsuite/synth/issue2372/testsuite.sh
new file mode 100755
index 000000000..ccb2ebf27
--- /dev/null
+++ b/testsuite/synth/issue2372/testsuite.sh
@@ -0,0 +1,8 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+synth_only to01
+
+echo "Test successful"
diff --git a/testsuite/synth/issue2372/to01.vhdl b/testsuite/synth/issue2372/to01.vhdl
new file mode 100644
index 000000000..e54c74808
--- /dev/null
+++ b/testsuite/synth/issue2372/to01.vhdl
@@ -0,0 +1,7 @@
+library IEEE; use IEEE.std_logic_1164.all;
+entity to01 is
+ port (din : in std_logic; dout : out std_logic);
+end;
+architecture test of to01 is begin
+ dout <= to_01(din);
+end;