aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/var01/tb_var01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/var01/tb_var01.vhdl')
-rw-r--r--testsuite/synth/var01/tb_var01.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/testsuite/synth/var01/tb_var01.vhdl b/testsuite/synth/var01/tb_var01.vhdl
index 48dca33a3..a21838f17 100644
--- a/testsuite/synth/var01/tb_var01.vhdl
+++ b/testsuite/synth/var01/tb_var01.vhdl
@@ -29,7 +29,7 @@ begin
mask <= x"f";
val <= x"12_34_56_78";
pulse;
- assert res = x"12_34_56_78" severity failure;
+ assert res = x"12_34_56_78" report "res=" & to_hstring (res) severity failure;
mask <= x"8";
val <= x"9a_00_00_00";