aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth8/tb_vector8_test1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/synth8/tb_vector8_test1.vhdl')
-rw-r--r--testsuite/synth/synth8/tb_vector8_test1.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/synth8/tb_vector8_test1.vhdl b/testsuite/synth/synth8/tb_vector8_test1.vhdl
new file mode 100644
index 000000000..0a37884d5
--- /dev/null
+++ b/testsuite/synth/synth8/tb_vector8_test1.vhdl
@@ -0,0 +1,19 @@
+entity tb_vector8_test1 is
+end tb_vector8_test1;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_vector8_test1 is
+ signal r : std_logic;
+begin
+ dut: entity work.vector8_test1
+ port map (r);
+
+ process
+ begin
+ wait for 1 ns;
+ assert r = '1' severity failure;
+ wait;
+ end process;
+end behav;