aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth26/int_test.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/synth26/int_test.vhdl')
-rw-r--r--testsuite/synth/synth26/int_test.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/synth26/int_test.vhdl b/testsuite/synth/synth26/int_test.vhdl
new file mode 100644
index 000000000..afc8c4cdb
--- /dev/null
+++ b/testsuite/synth/synth26/int_test.vhdl
@@ -0,0 +1,20 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity int_test is
+ port (clk : in std_logic;
+ a, b : in integer range 0 to 1;
+ c : out std_logic);
+end int_test;
+
+architecture rtl of int_test is
+begin
+ process (clk)
+ begin
+ if rising_edge (clk) then
+ if a < b then
+ c <= '0';
+ end if;
+ end if;
+ end process;
+end rtl;